Do you have any questions? Email Us [email protected]

AC3940 Alumina Boat, Aluminum Oxide Boat, Al2O3

  • Catalog No. AC3940
  • Material Al2O3
  • Purity 99.8%
  • Density 3.9 g/cm3
sc/1678091877-normal-alumina-boats.png

Alumina Boat Description

Alumina boat as a fine technical ceramic material is widely used in a lot of industries. High purity alumina has superb performances in electrical insulation, high chemical resistance and low thermal expansion. High purity alumina materials are excellent for making parts for CVD, ion implants, photolithography, and semiconductor parts. In traditional industries, alumina ceramics are ideal for products such as injector tubes, gas nozzles, and insulators.

Alumina Boat Specifications 

Composition AL97 AL98
AL2O3 Content 97% 99.80%
Color White Ivory
Tensile 30 Kpsi 32 Kpsi
Flexural 55 Kpsi 60 Kpsi
Compressive 300 Kpsi 330 Kpsi
Density 3.7 g/cc 3.92 g/cc
Hardness 13.8 HV, Gpa 18 HV, Gpa
Thermal Conductivity 25 W/(m K) 32 W/(m K)
C.O.T.E. 77 In / In°C (x10^7) 78 In / In°C (x10^7)
Working Temperature 1500 °C 1750 °C
Dielectric Constant 9.5 9.8
Volume Resistivity >10^14 Ohm-cm >10^15 Ohm-cm
Dielectric Strength 16 KV/mm 20 KV/mm

*This chart just demonstrates the typical properties of common alumina materials we use to produce our alumina parts. Please notice that the property for customized alumina parts could vary due to different processes. Advanced Ceramic Materials (ACM) could provide alumina ceramic products produced under your specified instruction, or do the best to meet customers’ requirements.

Alumina Boat Applications

Aluminum oxide boats can be used in the following applications:

 High alumina combustions boats are ideal for chemists, metallurgists, and other scientists involved in high-temperature work demanding contamination-free results.
 Used with refractory metals such as molybdenum, platinum, rhodium, tungsten, tantalum, and iridium
 Used for making parts for CVD, ion implants, photolithography, and semiconductor parts.
 In traditional industries, alumina ceramics are ideal for products such as injector tubes, gas nozzles, and insulators.
 Used as a material for industrial furnaces due to its ability to maintain its hardness at high temperatures.
 Used as a protector for high-temperature thermal couples.
 Used as a material for the chemical industry due to its high corrosion resistance.

Packaging

Our Alumina boats products are carefully handled to prevent damage during storage and transportation and to preserve the quality of our product in its original condition.

Related Documents
Alumina Ceramic Materials Brochure
Technical brochure for Alumina Ceramic Materials, all grades. Revision March 2023.
REQUEST A QUOTE FROM OUR SALES TEAM
  • Your Name (required)
  • Your Email (required)
  • Company Name (required)
  • Country (required)
  • Phone (Optional)
  • Ceramics (Optional)
  • Notes (Optional)
  • File Upload (Optional)
    未选择任何文件
Related Products